而针对系统记忆体管理部分,各个memory bank的位置、大小皆由current bank base pointer和current bank end pointer之设定所决定。故我们可利用base bank pointer/next bank pointer的概念去建立一个连续的记忆体应对。这个概念也就是将next bank的base pointer设定为与current bank的end pointer相同的位址。但是有一点必需注意的,就是这些连续位址不可有重叠的地方。
■FPGA:
XC2S30-5(30K gate counts)
■Codec:
˙16-Bit,26-KSPS
˙Built-in Microphone
■Analog To Digital:
8-Bit serial I/O ADC with 2 channel multiplexer
■SRAM:
128K×8Bit,12ns
■7 Segment LED×1
■9 LED Lamps
■4 Way DIP Switch
■1 Tag Switch
■1 DC Buzzer for tone generation
■PS2 Connector ×1 for PS2 keyboard or mouse
■Build in Xilinx download cable header circuit
我们仅以简单之解码功能做范例,但它需配合Xilinx ISE 4.1 以上工具来开发,再Download入板上。就可由CPU执行程式去驱动逻辑IP,在LED上看到显示的结果,以培养系统化思考,创作的能力。
【范例】:由ARM CPU送来的值经逻辑解码、Latch显示在LED上
--
-- MICROTIME COMPUTER INC.
--
library IEEE,synopsys;
use synopsys.attributes.all;
use IEEE.std_logic_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity TEST is
port (
GSR_IN : in STD_LOGIC;
IO_ADDR : in std_logic_vector(15 downto 0);
IO_DATA : inout std_logic_vector(15 downto 0);
nECS3 : in std_logic;
IO_nOE : in std_logic;
IO_nWE : in std_logic;
IO : inout std_logic_vector(9 downto 0);
LED : out std_logic_vector(7 downto 0)
);
end TEST;
architecture TEST of TEST is
signal GSR1, nGSR1 : std_logic;
signal PORT_READ : std_logic;
signal CS_PORT : std_logic;
signal sIO_nWE, sIO_nOE : std_logic;
signal FPGA_CTRL : std_logic_vector(7 downto 0);