DIY编程器网

标题: LED控制VHDL程序与仿真 [打印本页]

作者: liyf    时间: 2011-4-26 09:20
标题: LED控制VHDL程序与仿真
LED控制VHDL程序与仿真
分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序。
1. 例1:FPGA驱动LED静态显示
--文件名:decoder.vhd。
--功能:译码输出模块,LED为共阳接法。
--最后修改日期:2004.3.24。
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity decoder is
Port (seg:in std_logic_vector(3 downto 0 ); --四位二进制码输入
q3ut std_logic_vector(6 downto 0) ); --输出LED七段码
end decoder;
architecture Behavioral of decoder is
begin
process(seg)
begin
case seg is
when "0000" => q3 q3 q3 q3 q3 q3 q3 q3 q3 q3 q3
bus4
bus4
bus4
bus4 bus4<="0000000";shift<="0000";
end case;
end process;
end Behavioral;




欢迎光临 DIY编程器网 (http://diybcq.com/) Powered by Discuz! X3.2