标题: TLC7524接口电路程序 [打印本页] 作者: liyf 时间: 2011-4-26 09:30 标题: TLC7524接口电路程序 TLC7524接口电路程序
--文件名:TLC7524.VHD
--功能:产生156.25KHz的正弦波。
--最后修改日期:2004.3.18。
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity TLC7524 is
port( clk :in std_logic; --系统时钟
rst :in std_logic; --复位信号
data_outut std_logic_vector(7 downto 0)); --波形数据
end TLC7524;
architecture behav of TLC7524 is
signal b:integer range 0 to 63; --地址计数器
signal q:integer range 0 to 4; --计数器
signal d:integer range 0 to 255; --波形数据寄存器
begin
process(clk) --此进程通过对系统时钟的分频,完成的地址计数器的循环计数
begin
if rst='1' then b d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d d null;
end case;
end process;
data_out<=conv_std_logic_vector(d,8); --正弦波波形数据输出
end behav;