DIY编程器网

 找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

查看: 138|回复: 0
打印 上一主题 下一主题

FPGA设计的SPI自动发送模块技术

[复制链接]
跳转到指定楼层
楼主
发表于 2012-1-17 23:57:16 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
一、摘要:    SPI 接口应用十分广泛,在很多情况下,人们会用软件模拟的方法来产生SPI 时序或是采用带SPI 功能模块的MCU。但随着可编程逻辑技术的发展,人们往往需要自己设计简单的SPI 发送模块。本文介绍一种基于FPGA 的将并行数据以SPI 串行方式自动发送出去的方法。二、关键字:    VHDL、FPGA、SPI、串行数据输出选择模块、移位脉冲产生模块、SPI 时钟采集信号和无相移的SPI 基准时钟产生模块、SPI 时钟输出选择模块、8bit SPI 时钟采集生成模块、16bit SPI 时钟采集生成模块、24bit SPI 时钟采集生成模块、8bit 数据移位模块、16bit 数据移位模块、24bit 数据移位模块。三、功能框图:SPI_MODES 为输入模式选择端口:--"01"is 8bit 传输模式--"10"is 16bit 传输模式--"11"is 24bit 传输模式CLKS 为整个模块的基准时钟DbINOUTS 为并行数据输入端口:--8bit 模式为DbINOUTS(7 downto 0)--16bit 模式为DbINOUTS(15 downto 0)--24bit 模式为DbINOUTS(23 downto 0)SPI_WR 为启动SPI 传输的信号

整个功能模块可工作在 8bit、16bit、24bit SPI 猝发传输状态。对其进行软件操作的步骤相当简单:--此模块软件操作流程如下--1、SPI_MODES="xx" 设定串口操作模式--2、DbINOUTS="xxxxxxxxxxxxxxxxxxxxxxxx" 输入要发射的数据--3、SPI_WR='0'--4、SPI_WR='1'--5、SPI_WR='0'--8bit 模式延时2*8*4*CLKS--16bit 模式延时2*16*4*CLKS--24bit 模式延时2*24*4*CLKS--6、DbINOUTS="xxxxxxxxxxxxxxxxxxxxxxxx" 输入下一个要发射的数据四、VHDL 描述解读--以下描述的是一个SPI 自动发射模块--在很多情况下,人们会用软件模拟的方法来产生SPI 时序--这里采用硬件的方法,即使软件操作更为简单,有提高了传输的速度----------------------------------------------------------------此模块软件操作流程如下--1、SPI_MODES="xx" 设定串口操作模式--2、DbINOUTS="xxxxxxxxxxxxxxxxxxxxxxxx" 输入要发射的数据--3、SPI_WR='0'--4、SPI_WR='1'--5、SPI_WR='0'--8bit 模式延时2*8*4*CLKS--16bit 模式延时2*16*4*CLKS--24bit 模式延时2*24*4*CLKS--6、DbINOUTS="xxxxxxxxxxxxxxxxxxxxxxxx" 输入下一个要发射的数据library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity SPI_interface isport(CLKS :in std_logic; --基准时钟LCD_SCLS ut std_logic;--SPI 发射时钟,上升沿有效LCD_SDIS ut std_logic;--SPI 数据串行输出口SPI_MODES :in std_logic_vector(1 downto 0);--串口操作模式选择--"01"is 8bit trans mode--"10"is 16bit trans mode--"11"is 24bit trans modeSPI_WR :in std_logic; --启动串口发送信号DbINOUTS :in std_logic_vector(23 downto 0));--背发送数据的并行输入口--8bit mode use DbINOUTS(7 downto 0)--16bit mode use DbINOUTS(15 downto 0)--24bit mode use DbINOUTS(23 downto 0)end;architecture SPI_interface_behav of SPI_interface issignal Db8bIT_reg :std_logic_vector(7 downto 0); --8bit 数据移位寄存器signal Db16bIT_reg :std_logic_vector(15 downto 0);--16bit 数据移位寄存器signal Db24bIT_reg :std_logic_vector(23 downto 0);--24bit 数据移位寄存器signal counter4 :std_logic_vector(3 downto 0); --移位脉冲产生计数器signal counter4s :std_logic_vector(1 downto 0); --SPI 时钟生成计数器signal counter8 :std_logic_vector(4 downto 0); --8bit SPI 时钟控制计数器signal counter16 :std_logic_vector(5 downto 0); --16bit SPI 时钟控制计数器signal counter24 :std_logic_vector(5 downto 0); --24bit SPI 时钟控制计数器signal shift :std_logic;--移位时钟脉冲signal LCD_SCLSS :std_logic;--SPI 时钟采集信号signal LCD_SCLSSS :std_logic;--无相移的SPI 基准时钟signal LCD_SCLSS8 :std_logic;--8bit SPI 时钟信号signal LCD_SCLSS16 :std_logic;--16bit SPI 时钟信号signal LCD_SCLSS24 :std_logic;--24bit SPI 时钟信号signal LCD_SDIS_8bIT :std_logic;--8bit SPI 数据信号signal LCD_SDIS_16bIT :std_logic;--16bit SPI 数据信号signal LCD_SDIS_24bIT :std_logic;--24bit SPI 数据信号begin--串行数据输出选择模块u1:process(LCD_SDIS_8bIT,LCD_SDIS_16bIT,LCD_SDIS_24bIT,SPI_MODES)beginif SPI_MODES="01" then --选择8bit 串行数据输出LCD_SDIS0 thencounter80 thencounter160 thencounter24<=counter24-1;if (counter24="000000")or(counter24="000001")or(counter24="110011")or(counter24="000010")thenLCD_SCLSS24<='0';elseLCD_SCLSS24<=LCD_SCLSSS;end if;end if;end if;end process;--8bit 数据移位模块Db8bIT_U:process(shift,SPI_WR,DbINOUTS)beginif SPI_WR='1' thenDb8bIT_reg<=DbINOUTS(7 downto 0);elseif shift='1' and shift'event thenLCD_SDIS_8bIT<=Db8bIT_reg(0);Db8bIT_reg(6 downto 0)<=Db8bIT_reg(7 downto 1);end if;end if;end process;--16bit 数据移位模块Db16bIT_U:process(shift,SPI_WR,DbINOUTS)beginif SPI_WR='1' thenDb16bIT_reg(15 downto 0)<=DbINOUTS(15 downto 0);elseif shift='1' and shift'event thenLCD_SDIS_16bIT<=Db16bIT_reg(0);Db16bIT_reg(14 downto 0)<=Db16bIT_reg(15 downto 1);end if;end if;end process;--24bit 数据移位模块Db24bIT_U:process(shift,SPI_WR,DbINOUTS)beginif SPI_WR='1' thenDb24bIT_reg(23 downto 0)<=DbINOUTS(23 downto 0);elseif shift='1' and shift'event thenLCD_SDIS_24bIT<=Db24bIT_reg(0);Db24bIT_reg(22 downto 0)<=Db24bIT_reg(23 downto 1);end if;end if;end process;end;五、仿真波形图







六、编译后资源占用情况

七、结束语    本文旨在给学习可编程技术的人们提供一个参考,起到抛砖引玉的作用。望阅读过此文的读者提供更好的方法,与所有的学习者共享,共勉!
                          
                       
                          
                               
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 分享分享 支持支持 反对反对
您需要登录后才可以回帖 登录 | 注册

本版积分规则

小黑屋|文字版|手机版|DIY编程器网 ( 桂ICP备14005565号-1 )

GMT+8, 2024-6-18 02:00 , 耗时 0.086076 秒, 19 个查询请求 , Gzip 开启.

各位嘉宾言论仅代表个人观点,非属DIY编程器网立场。

桂公网安备 45031202000115号

DIY编程器群(超员):41210778 DIY编程器

DIY编程器群1(满员):3044634 DIY编程器1

diy编程器群2:551025008 diy编程器群2

QQ:28000622;Email:libyoufer@sina.com

本站由桂林市临桂区技兴电子商务经营部独家赞助。旨在技术交流,请自觉遵守国家法律法规,一旦发现将做封号删号处理。

快速回复 返回顶部 返回列表