DIY编程器网

 找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

查看: 125|回复: 0
打印 上一主题 下一主题

DSP精华资料-

[复制链接]
跳转到指定楼层
楼主
发表于 2012-1-27 14:04:34 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

                   

DSP的内部指令周期较高,外部晶振的主频不够,因此DSP大多数片内均有PLL。但每个系列不尽相同。?

1)TMS320C2000系列:?

TMS320C20x:PLL可以÷2,×1,×2和×4,因此外部时钟可以为5MHz-40MHz。?
TMS320F240:PLL可以÷2,×1,×1.5,×2,×2.5,×3,×4,×4.5,×5和×9,因此外部时钟可以为2.22MHz-40MHz。?
TMS320F241/C242/F243:PLL可以×4,因此外部时钟为5MHz。?TMS320LF24xx:PLL可以由RC调节,因此外部时钟为4MHz-20MHz。?
TMS320LF24xxA:PLL可以由RC调节,因此外部时钟为4MHz-20MHz。
?
2)TMS320C3x系列:?

TMS320C3x:没有PLL,因此外部主频为工作频率的2倍a。?
TMS320VC33:PLL可以÷2,×1,×5,因此外部主频可以为12MHz-100MHz。?

3)TMS320C5000系列:?

TMS320VC54xx:PLL可以÷4,÷2,×1-32,因此外部主频可以为0.625MHz-50MHz。?
TMS320VC55xx:PLL可以÷4,÷2,×1-32,因此外部主频可以为6.25MHz-300MHz。?

4)TMS320C6000系列:?

TMS320C62xx:PLL可以×1,×4,×6,×7,×8,×9,×10和×11,因此外部主频可以为11.8MHz-300MHz。?
TMS320C67xx:PLL可以×1和×4,因此外部主频可以为12.5MHz-230MHz。?
TMS320C64xx:PLL可以×1,×6和×12,因此外部主频可以为30MHz-720MHz?


软件等待的如何使用???

  DSP的指令周期较快,访问慢速存储器或外设时需加入等待。等待分硬件等待和软件等待,每一个系列的等待不完全相同。?

1)对于C2000系列:?硬件等待信号为READY,高电平时不等待。?软件等待由WSGR寄存器决定,可以加入最多7个等待。其中程序存储器和数据存储器及I/O可以分别设置。?

2)对于C3x系列:?硬件等待信号为/RDY,低电平是不等待。?软件等待由总线控制寄存器中的SWW和WTCNY决定,可以加入最多7个等待,但等待是不分段的,除了片内之外全空间有效。
?
3)对于C5000系列:?硬件等待信号为READY,高电平时不等待。?软件等待由SWWCR和SWWSR寄存器决定,可以加入最多14个等待。其中程序存储器、控制程序存储器和数据存储器及I/O可以分别设置。
?
4)对于C6000系列(只限于非同步存储器或外设):?硬件等待信号为ARDY,高电平时不等待。?软件等待由外部存储器接口控制寄存器决定,总线访问外部存储器或设备的时序可以设置,可以方便的同异步的存储器或外设接口。?


仿真工作正常对于DSP的基本要求??

1)DSP电源和地连接正确。?2)DSP时钟正确。?3)DSP的主要控制信号,如RS和HOLD信号接高电平。?4)C2000的watchdog关掉。?5)不可屏蔽中断NMI上拉高电平。??

CCS或Emurst运行时提示“Can't?Initialize?Target?DSP”??

1)仿真器连接是否正常??

2)仿真器的I/O设置是否正确??

3)XDSPP仿真器的电源是否正确??

4)目标系统是否正确??

5)仿真器是否正常?

6)DSP工作的基本条件是否具备。?
建议使用目标板测试。??


为什么CCS需要安装Driver???

  CCS是开放的软件平台,它可以支持不同的硬件接口,因此不同的硬件接口必须通过标准的Driver同CCS连接。??


Driver安装的常见问题???

  请认真阅读“安装手册”和?Driver盘中的Readme。?1)对于SEED-XDS,安装Readme中的步骤,将I/O口设为240/280/320/340。?2)对于SEED-XDSPP,安装Readme中的步骤,将I/O口设为378或278。3)对于SEED-XDSUSB,必须连接目标板,安装?Readme中的步骤,将I/O口设为A,USB连接后,主机将自动激活相应的Driver。?4)对于SEED-XDSPCI,安装Readme中的步骤,将I/O口设为240,PCI接口板插入主机后,主机将自动激活相应的Driver。?5)对于Simulator,需要选择不同的CFG文件,以模拟不同的DSP。?6)对于C5402?DSK,将I/O口设为请认真阅读“安装手册”和Driver盘中的Readme。?1)对于SEED-XDS,安装Readme中的步骤,将I/O口设为240/280/320/340。?2)对于SEED-XDSPP,安装Readme中的步骤,将I/O口设为378或278。注意主机BIOS中并口的型式必须同xds510pp.ini?中一致。?3)对于SEED-XDSUSB,必须连接目标板,安装Readme中的步骤,将I/O口设为240/280/320/340,USB连接后,主机将自动激活相应的Driver。?4)对于SEED-XDSPCI,安装Readme中的步骤,将I/O口设为240/280/320/340,PCI接口板插入主机后,主机将自动激活相应的Driver。?5)对于Simulator,需要选择不同的CFG文件,以模拟不同的DSP。?6)对于C5402?DSK,将I/O口设为378或278。?7)对于C6211/6711?DSK,将I/O口设为378或278。?8)对于C6201/C6701?EVM,将I/O口设为0。?


2.Link的cmd文件的作用是什么???

  Link的cmd文件用于DSP代码的定位。由于DSP的编译器的编译结果是未定位的,DSP没有操作系统来定位执行代码,每个客户设计的DSP系统的配置也不尽相同,因此需要用户自己定义代码的安装位置。以C5000为例,基本格式为:?
-o?sample.out?
-m?sample.map?
-stack?100?
sample.obj?meminit.obj?
-l?rts.lib?
MEMORY?{?
PAGE?0:?VECT:?origin?=?0xff80,?length?0x80?
PAGE?0:?PROG:?origin?=?0x2000,?length?0x400?
PAGE?1:?DATA:?origin?=?0x800,?length?0x400?
}?
SECTIONS?{?
.vectors?:?{}?>PROG?PAGE?0?
.text?:?{}?>PROG?PAGE?0?
.data?:?{}?>PROG?PAGE?0?
.cinit?:?{}?>PROG?PAGE?0?
.bss?:?{}?>DATA?PAGE?1?
}??

如何将OUT文件转换为16进制的文件格式???

  DSP的开发软件集成了一个程序,可以从执行文件OUT转换到编程器可以接受的格式,使得编程器可以用次文件烧写EPROM或Flash。对于C2000?的程序为DSPHEX;对于C3x程序为HEX30;对于C54x程序为HEX500;对于C55x程序为HEX55;对于C6x程序为Hex6x。以?C32为例,基本格式为:?
sample.out?
-x?
-memwidth?8?
-bootorg?900000h?
-iostrb?0h?
-strb0?03f0000h?
-strb1?01f0000h?
-o?sample.hex?
ROMS?{?
EPROM:?org?=?0x900000,len=0x02000,romwidth=8?
}?
SECTIONS?{?
.text:?paddr=boot?
.data:?paddr=boot?
}?

DSP仿真器为什么必须连接目标系统(Target)??

  DSP的仿真器同单片机的不同,仿真器中没有DSP,提供IEEE标准的JTAG口对DSP进行仿真调试,所以仿真器必须有仿真对象,及目标系统。目标系统就是你的产品,上面必须有DSP。仿真器提供JTAG同目标系统的DSP相接,通过DSP实现对整个目标系统的调试。??


仿真工作正常对于DSP的基本要求?

1)?DSP电源和地连接正确。?
2)DSP时钟正确。?
3)DSP的主要控制信号,如RS和HOLD信号接高电平。?
4)C2000的watchdog关掉。?
5)不可屏蔽中断NMI上拉高电平。??

CCS或Emurst运行时提示“Can't?Initialize?Target?DSP”?

1)?仿真器连接是否正常??
2)仿真器的I/O设置是否正确??
3)XDSPP仿真器的电源是否正确??
4)目标系统是否正确??
5)仿真器是否正常?
6)DSP工作的基本条件是否具备。?
建议使用目标板测试。??

为什么CCS需要安装Driver??

  CCS是开放的软件平台,它可以支持不同的硬件接口,因此不同的硬件接口必须通过标准的Driver同CCS连接。??


Link的cmd文件的作用是什么?
?
  Link的cmd文件用于DSP代码的定位。由于DSP的编译器的编译结果是未定位的,DSP没有操作系统来定位执行代码,每个客户设计的DSP系统的配置也不尽相同,因此需要用户自己定义代码的安装位置。以C5000为例,基本格式为:?
-o?sample.out?
-m?sample.map?
-stack?100?
sample.obj?meminit.obj?
-l?rts.lib?
memory?{?
PAGE?0:?VECT:?origin?=?0xff80,?length?0x80?
PAGE?0:?PROG:?origin?=?0x2000,?length?0x400?
PAGE?1:?DATA:?origin?=?0x800,?length?0x400?
}?
SECTIONS?{?
.vectors?:?{}?>PROG?PAGE?0?
.text?:?{}?>PROG?PAGE?0?
.data?:?{}?>PROG?PAGE?0?
.cinit?:?{}?>PROG?PAGE?0?
.bss?:?{}?>DATA?PAGE?1?
}??

如何将OUT文件转换为16进制的文件格式??

  DSP的开发软件集成了一个程序,可以从执行文件OUT转换到编程器可以接受的格式,使得编程器可以用次文件烧写EPROM或flash。对于C2000?的程序为DSPHEX;对于C3x程序为HEX30;对于C54x程序为HEX500;对于C55x程序为HEX55;对于C6x程序为Hex6x。以?C32为例,基本格式为:?
sample.out?
-x?
-memwidth?8?
-bootorg?900000h?
-iostrb?0h?
-strb0?03f0000h?
-strb1?01f0000h?
-o?sample.hex?
ROMS?{?
EPROM:?org?=?0x900000,len=0x02000,romwidth=8?
}?
SECTIONS?{?
.text:?paddr=boot?
.data:?paddr=boot?
}??


DSP的C语言同主机C语言的主要区别?
?
1)?DSP的C语言是标准的ANSI?C,它不包括同外设联系的扩展部分,如屏幕绘图等。但在CCS中,为了方便调试,可以将数据通过prinf命令虚拟输出到主机的屏幕上。?
2)DSP的C语言的编译过程为,C编译为ASM,再由ASM编译为OBJ。因此C和ASM的对应关系非常明确,非常便于人工优化。?
3)DSP的代码需要绝对定位;主机的C的代码有操作系统定位。?
4)DSP的C的效率较高,非常适合于嵌入系统。??

为什么在CCS下编译工具工作不正常??

在CCS下有部分客户会碰到编译工具工作不正常,常见错误为:?

1)autoexec.bat的路径“out?of?Memory”。修改autoexec.bat,清除无用的PATH路径。?
2)编译的输出文件(OUT文件)写保护,无法覆盖。删除或修改输出文件的属性。?
3)Windows有问题。重新安装windows。?
4)Windows下有程序对CCS有影响。建议用一“干净”的计算机。??

在CCS下,如何选择有效的存储器空间??

  CCS下的存储器空间最好设置同你的硬件,没有的存储器不要有效。这样便于调试,CCS会发现你调入程序时或程序运行时,是否访问了无效地址。?

1)在GEL文件中设置。参见CCS中的示例。?
2)在Option菜单下,选择Memory?Map选项,根据你的硬件设置。注意一定要将Enable?Memory?Mapping置为使能。??

在CCS下,OUT文件加载时提示“Data?verification?failed...”的原因??
Link的CMD文件分配的地址同GEL或设置的有效地址空间不符。中断向量定位处或其它代码、数据段定位处,没有RAM,无法加载OUT文件。解决方法:?1)调整Link的CMD文件,使得定位段处有RAM。?2)调整存储器设置,使得RAM区有效。??

为什么要使用BIOS??

1)BIOS是Basic?I/O?System的简称,是基本的输入、输出管理。?
2)用于管理任务的调度,程序实时分析,中断管理,跟踪管理和实时数据交换。?
3)BIOS是基本的实时系统,使用BIOS可以方便地实现多任务、多进程的时间管理。?
4)BIOS是eXpress?DSP的标准平台,要使用eXpress?DSP技术,必须使用BIOS。?


3.?DSP芯片有多大的驱动能力??
DSP的驱动能力较强,可以不加驱动,连接8个以上标准TTL门。??

调试TMS320C2000系列的常见问题??

1)单步可以运行,连续运行时总回0地址:?Watchdog没有关,连续运行复位DSP回到0地址。?
2)OUT文件不能load到片内flash中:?Flash不是RAM,不能用简单的写指令写入,需要专门的程序写入。CCS和C?Source?Debugger中的load命令,不能对flash写入。?OUT文件只能load到片内RAM,或片外RAM中。?
3)在flash中如何加入断点:?在flash中可以用单步调试,也可以用硬件断点的方法在flash中加入断点,软件断点是不能加在ROM中的。硬件断点,设置存储器的地址,当访问该地址时产生中断。?
4)中断向量:?C2000的中断向量不可重定位,因此中断向量必须放在0地址开始的flash内。在调试系统时,代码放在RAM中,中断向量也必须放在flash内。??

调试TMS320C3x系列的常见问题?
?
1)?TMS320C32的存储器配置:?TMS320C32的程序存储器可以配置为16位或32位;数据存储器可以配置为8位、16位或32位。?
2)TMS320VC33的PLL控制:?TMS320VC33的PLL控制端只能接1.8V,不能接3.3V或5V。??

??

4.如何调试多片DSP??

  对于有MPSD仿真口的DSP(TMS320C30/C31/C32),不能用一套仿真器同时调试,每次只能调试其中的一个DSP;对于有JTAG仿真口的DSP,可以将JTAG串接在一起,用一套仿真器同时调试多个DSP,每个DSP可以用不同的名字,在不同的窗口中调试。注意:如果在JTAG和DSP间加入驱动,一定要用快速的门电路,不能使用如LS的慢速门电路。??

在DSP系统中为什么要使用CPLD??

  DSP的速度较快,要求译码的速度也必须较快。利用小规模逻辑器件译码的方式,已不能满足DSP系统的要求。同时,DSP系统中也经常需要外部快速部件的配合,这些部件往往是专门的电路,有可编程器件实现。?CPLD的时序严格,速度较快,可编程性好,非常适合于实现译码和专门电路。??

DSP系统构成的常用芯片有哪些?
?
1)?电源:?TPS73HD3xx,TPS7333,TPS56100,PT64xx...?
2)Flash:?AM29F400,AM29LV400,SST39VF400...?
3)SRAM:?CY7C1021,CY7C1009,CY7C1049...?
4)FIFO:?CY7C425,CY7C42x5...?
5)Dual?port:?CY7C136,CY7C133,CY7C1342...?
6)SBSRAM:?CY7C1329,CY7C1339...?
7)SDRAM:?HY57V651620BTC...?
8)CPLD:?CY37000系列,CY38000系列,CY39000系列...?
9)PCI:?PCI2040,CY7C09449...?
10)USB:?AN21xx,CY7C68xxx...?
11)Codec:TLV320AIC23,TLV320AIC10...?
12)A/D,D/A:ADS7805,TLV2543...?


什么是boot?loader?
?
  DSP的速度尽快,EPROM或flash的速度较慢,而DSP片内的RAM很快,片外的RAM也较快。为了使DSP充分发挥它的能力,必须将程序代码放在RAM中运行。为了方便的将代码从ROM中搬到RAM中,在不带flash的DSP中,TI在出厂时固化了一段程序,在上电后完成从ROM或外设将代码搬到用户指定的RAM中。此段程序称为“boot?loader”。??

TMS320C3x如何boot??

  在MC/MP管脚为高时,C3x进入boot状态。C3x的boot?loader在reset时,判断外部中断管脚的电平。根据中断配置决定boot的方式为存储器加载还是串口加载,其中ROM的地址可以为三个中的一个,ROM可以为8位。??

Boot有问题如何解决?
?
1)仔细检查boot的控制字是否正确。?
2)仔细检查外部管脚设置是否正确。?
3)仔细检查hex文件是否转换正确。?
4)用仿真器跟踪boot过程,分析错误原因。??

DSP为什么要初始化??

  DSP在RESET后,许多的寄存器的初值一般同用户的要求不一致,例如:等待寄存器,SP,中断定位寄存器等,需要通过初始化程序设置为用户要求的数值。?初始化程序的主要作用:?
1)设置寄存器初值。?
2)建立中断向量表。?
3)外围部件初始化。??

DSP有哪些数学库及其它应用软件??

  TI公司为了方便客户开发DSP,在它的网站上提供了许多程序的示例和应用程序,如MATH库,FFT,FIR/IIR等,可以在TI的网页免费下载。??

如何获得DSP专用算法??

  TI有许多的Third?Party可以通过DSP上的多种算法软件。可以通过TI的网页搜索你所需的算法,找到通过算法的公司,同相应的公司联系。注意这些算法都是要付费的。??

eXpressDSP是什么??

  eXpressDSP是一种实时DSP软件技术,它是一种DSP编程的标准,利用它可以加快你开发DSP软件的速度。以往DSP软件的开发没有任何标准,不同的人写的程序一般无法连接在一起。DSP软件的调试工具也非常不方便。使得DSP软件的开发往往滞后于硬件的开发。?eXpressDSP集成了CCS(Code?Composer?Studio)开发平台,DSP?BIOS实时软件平台,DSP算法标准和第三方支持四部分。利用该技术,可以使你的软件调试,软件进程管理,软件的互通及算法的获得,都便的容易。这样就可以加快你的软件开发进程。
?
1)CCS是eXpressDSP的基础,因此你必须首先拥有CCS软件。?
2)DSP?BIOS是eXpressDSP的基本平台,你必须学会所有DSP?BIOS。?
3)DSP算法标准可以保证你的程序可以方便的同其它利用eXpressDSP技术的程序连接在一起。同时也保证你的程序的延续性。??

为什么要用DSP??

  3G技术和internate的发展,要求处理器的速度越来越高,体积越来越小,DSP的发展正好能满足这一发展的要求。因为,传统的其它处理器都有不同的缺陷。MCU的速度较慢;CPU体积较大,功耗较高;嵌入CPU的成本较高。?DSP的发展,使得在许多速度要求较高,算法较复杂的场合,取代MCU或其它处理器,而成本有可能更低。?


5.使用TI公司模拟器件与DSP结合使用的好处。?

1)?在使用TI公司的DSP的同时,使用TI公司的模拟可以和DSP进行无缝连接。器件与器件之间不需要任何的连接或转接器件。这样即减少了板卡的尺寸,也降低了开发难度。?
2)同为TI公司的产品,很多器件可以固定搭配使用。少了器件选型的烦恼?
3)TI在CCS中提供插件,可以用于DSP和模拟器件的开发,非常方便。??

C语言中可以嵌套汇编语言?
?
  可以。在ANSI?C标准中的标准用法就是用C语言编写主程序,用汇编语言编写子程序,中断服务程序,一些算法,然后用C语言调用这些汇编程序,这样效率会相对比较高?

在定点DSP系统中可否实现浮点运算

当然可以,因为DSP都可以用C,只要是可以使用c语言的场合都可以实现浮点运算。??

JTAG头的使用会遇到哪些情况

1)?DSP的CLKOUT没有输出,工作不正常。?
2)Emu0,Emu1需要上拉。?
3)TCK的频率应该为10M。?
4)在3.3V?DSP中,PD脚为3.3V?供电,但是仿真器上需要5V电压供电,所以PP仿真器盒上需要单独供电。?
4)仿真多片DSP。在使用菊花链的时候,第一片DSP的tdO接到第二片DSP的tdI即可。注意当串联DSP比较多的时候,信号线要适当的增加驱动。??

include头文件(.h)的主要作用?

  头文件,一般用于定义程序中的函数、参数、变量和一些宏单元,同库函数配合使用。因此,在使用库时,必须用相应的头文件说明。??

DSP中断向量的位置?

1)?2000系列dsp的中断向量只能从0000H处开始。所以在我们调试程序的时候,要把DSP选择为MP(微处理器方式),把片内的Flash屏蔽掉,免去每次更改程序都要重新烧写Flash工作。?
2)3x系列dsp的中断向量也只能在固定的地址。?
3)5000,6000系列dsp的中断向量可以重新定位。但是它只能被重新定位到Page0范围内的任何空间。??

有源晶振与晶体的区别,应用范围及用法?

1)?晶体需要用DSP片内的振荡器,在datasheet上有建议的连接方法。晶体没有电压的问题,可以适应于任何DSP,建议用晶体。?
2)有源晶振不需要DSP的内部振荡器,信号比较稳定。有源晶振用法:一脚悬空,二脚接地,三脚接输出,四脚接电压。??

程序经常跑飞的原因?

1)?程序没有结尾或不是循环的程序。?
2)nmi管脚没有上拉。?
3)在看门狗动作的时候程序会经常跑飞。?
4)程序编制不当也会引起程序跑飞。?
5)硬件系统有问题。??

并行FLASH引导的一点经验-阿哲?

  最近BBS上关于FLASH和BOOT的讨论很活跃,我也多次来此请教。前几天自制的DSP板引导成功,早就打算写写这方面的东西。我用的DSP是?5416,以其为核心,做了一个相对独立的子系统(硬件、软件、算法),目前都已基本做好。下面把在FLASH引导方面做的工作向大家汇报一下,希望能对大家有所帮助。本人经验和文笔都有限,写的不好请大家谅解。?硬件环境:?
DSP:TMS320VC5416PGE160?
FLASH:SST39VF400A-70-4C-EK?都是贴片的,FLASH映射在DSP数据空间的0x8000-0xFFFF?
软件环境:?CCS?v2.12.01?
主程序(要烧入FLASH的程序):?DEBUG版,程序占用空间0x28000-0x2FFFF(片内SARAM),中断向量表在0x0080-0x00FF(片内DARAM),数据空间使用0x0100-0x7FFF(片内DARAM)。因为FLASH是贴片的,所以需要自己编一个数据搬移程序,把要主程序搬移到FLASH中。在写入FLASH数据时,还应写入引导表的格式数据。最后在数据空间的0xFFFF处写入引导表的起始地址(这里为0x8000)。?
搬移程序:?DEBUG版,程序空间0x38000-0x3FFFF(片内SARAM),中断向量表在0x7800-0x78FF(片内DARAM),数据空间使用?0x5000-0x77FF(片内DARAM)。?搬移程序不能使用与主程序的程序空间和中断向量表重合的物理空间,以免覆盖。烧写时,同时打开主程序和搬移程序的PROJECT,先LOAD主程序,再LOAD搬移程序,然后执行搬移程序,烧写OK!?附:搬移程序(仅供参考)?
volatile?unsigned?int?*pTemp=(unsigned?int?*)0x7e00;?unsigned?int?iFlashAddr;?
int?iLoop;?/*?在引导表头存放并行引导关键字?*/?
iFlashAddr=0x8000;?
WriteFlash(iFlashAddr,0x10aa);?
iFlashAddr++;?/*?初始化SWWSR值?*/?
WriteFlash(iFlashAddr,0x7e00);?
iFlashAddr++;?/*?初始化BSCR值?*/?
WriteFlash(iFlashAddr,0x8006);?
iFlashAddr++;?/*?程序执行的入口地址?*/?
WriteFlash(iFlashAddr,0x0002);?
iFlashAddr++;?
WriteFlash(iFlashAddr,0x8085);?
iFlashAddr++;?/*?程序长度?*/?
WriteFlash(iFlashAddr,0x7f00);?
iFlashAddr++;?/*?程序要装载到的地址?*/?
WriteFlash(iFlashAddr,0x0002);?
iFlashAddr++;?
WriteFlash(iFlashAddr,0x8000);?
iFlashAddr++;?
for?(iLoop=0;iLoop<0x7f00;iLoop++)?
{?/*?从程序空间读数据,放到暂存单元?*/?
asm("?pshm?al");?
asm("?pshm?ah");?
asm("?rsbx?cpl");?
asm("?ld?#00fch,dp");?
asm("?stm?#0000h,?ah");?
asm("?MVDM?_iLoop,?al");?
asm("?add?#2800h,4,a");?
asm("?reada?0h");?
asm("?popm?ah");?
asm("?popm?al");?
asm("?ssbx?cpl");?/*?把暂存单元内容写入FLASH?*/?
WriteFlash(iFlashAddr,*pTemp);?
iFlashAddr++;?}?/*?中断向量表长度?*/?
WriteFlash(iFlashAddr,0x0080);?
iFlashAddr++;?/*?中断向量表装载地址?*/?
WriteFlash(iFlashAddr,0x0000);?
iFlashAddr++;?
WriteFlash(iFlashAddr,0x0080);?
iFlashAddr++;?
for?(iLoop=0;iLoop<0x0080;iLoop++)?{?/*?从程序空间读数据,放到暂存单元?*/?
asm("?pshm?al");?
asm("?pshm?ah");?
asm("?rsbx?cpl");?
asm("?ld?#00fch,dp");?
asm("?stm?#0000h,?ah");?
asm("?MVDM?_iLoop,?al");?
asm("?add?#0080h,0,a");?
asm("?reada?0h");?
asm("?popm?ah");?
asm("?popm?al");?
asm("?ssbx?cpl");?/*?把暂存单元内容写入FLASH?*/?
WriteFlash(iFlashAddr,*pTemp);?
iFlashAddr++;?
}?/*?写入引导表结束标志?*/?
WriteFlash(iFlashAddr,0x0000);?
iFlashAddr++;?
WriteFlash(iFlashAddr,0x0000);?/*?在数据空间的0xFFFF写入引导表起始地址?*/?
iFlashAddr=0xffff;?
WriteFlash(iFlashAddr,0x8000);?


6.cmd文件
由3部分组成:?
1)输入/输出定义:.obj文件:链接器要链接的目标文件;.lib文件:链接器要链接的库文件;.map文件:链接器生成的交叉索引文件;.out文件:链接器生成的可执行代码;链接器选项?
2)MEMORY命令:描述系统实际的硬件资源?
3)SECTIONS命令:描述“段”如何定位??

为什么要设计CSL?
1,DSP片上外设种类及其应用日趋复杂?
2,提供一组标准的方法用于访问和控制片上外设?
3,免除用户编写配置和控制片上外设所必需的定义和代码??

什么是CSL?
1,用于配置、控制和管理DSP片上外设?
2,已为C6000和C5000系列DSP设计了各自的CSL库?
3,CSL库函数大多数是用C语言编写的,并已对代码的大小和速度进行了优化?
4,CSL库是可裁剪的:即只有被使用的CSL模块才会包含进应用程序中?
5,CSL库是可扩展的:每个片上外设的API相互独立,增加新的API,对其他片上外设没有影响??

CSL的特点
1,片上外设编程的标准协议:定义一组标准的APIs:函数、数据类型、宏;?
2,对硬件进行抽象,提取符号化的片上外设描述:定义一组宏,用于访问和建立寄存器及其域值?
3,基本的资源管理:对多资源的片上外设进行管理;?
4,已集成到DSP/BIOS中:通过图形用户接口GUI对CSL进行配置;?
5,使片上外设容易使用:缩短开发时间,增加可移植.??

为什么需要电平变换?
1)?DSP系统中难免存在5V/3.3V混合供电现象;?
2)I/O为3.3V供电的DSP,其输入信号电平不允许超过电源电压3.3V;?
3)5V器件输出信号高电平可达4.4V;?
4)长时间超常工作会损坏DSP器件;?
5)输出信号电平一般无需变换??

电平变换的方法
1,总线收发器(Bus?Transceiver):?
常用器件:?SN74LVTH245A(8位)、SN74LVTH16245A(16位)?
特点:3.3V供电,需进行方向控制,?
延迟:3.5ns,驱动:-32/64mA,?
输入容限:5V?
应用:数据、地址和控制总线的驱动?
2,总线开关(Bus?Switch)?
常用器件:SN74CBtd3384(10位)、SN74CBtd16210(20位)?
特点:5V供电,无需方向控制?
延迟:0.25ns,驱动能力不增加?
应用:适用于信号方向灵活、且负载单一的应用,如McBSP等外设信号的电平变换?
3,2选1切换器(1?of?2?Multiplexer)?
常用器件:SN74CBT3257(4位)、SN74CBT16292(12位)?
特点:实现2选1,5V供电,无需方向控制?
延迟:0.25ns,驱动能力不增加?
应用:适用于多路切换信号、且要进行电平变换的应用,如双路复用的McBSP?
4,CPLD?
3.3V供电,但输入容限为5V,并且延迟较大:>7ns,适用于少量的对延迟要求不高的输入信号?
5,电阻分压?
10KΩ和20KΩ串联分压,5V×20÷(10+20)≈3.3V??

未用的输入/输出引脚的处理
1,未用的输入引脚不能悬空不接,而应将它们上拉活下拉为固定的电平?
1)关键的控制输入引脚,如Ready、Hold等,应固定接为适当的状态,Ready引脚应固定接为有效状态,Hold引脚应固定接为无效状态?
2)无连接(NC)和保留(RSV)引脚,NC?引脚:除非特殊说明,这些引脚悬空不接,RSV引脚:应根据数据手册具体决定接还是不接?
3)非关键的输入引脚,将它们上拉或下拉为固定的电平,以降低功耗?
2,未用的输出引脚可以悬空不接?
3,未用的I/O引脚:如果确省状态为输入引脚,则作为非关键的输入引脚处理,上拉或下拉为固定的电平;如果确省状态为输出引脚,则可以悬空不接?

            
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 分享分享 支持支持 反对反对
您需要登录后才可以回帖 登录 | 注册

本版积分规则

小黑屋|文字版|手机版|DIY编程器网 ( 桂ICP备14005565号-1 )

GMT+8, 2024-9-25 07:19 , 耗时 0.094912 秒, 18 个查询请求 , Gzip 开启.

各位嘉宾言论仅代表个人观点,非属DIY编程器网立场。

桂公网安备 45031202000115号

DIY编程器群(超员):41210778 DIY编程器

DIY编程器群1(满员):3044634 DIY编程器1

diy编程器群2:551025008 diy编程器群2

QQ:28000622;Email:libyoufer@sina.com

本站由桂林市临桂区技兴电子商务经营部独家赞助。旨在技术交流,请自觉遵守国家法律法规,一旦发现将做封号删号处理。

快速回复 返回顶部 返回列表