DIY编程器网

 找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

查看: 1203|回复: 0
打印 上一主题 下一主题

[待整理] 802.15.4a背景下采用CSK及相关技术的数字图像/语音传输系统

[复制链接]
跳转到指定楼层
楼主
发表于 2015-4-26 17:36:24 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式
摘 要
                         本项目在IEEE802.15.4a标准背景下,实现采用Chirp信号传输数字语音和图像等信息的通信系统。Chirp信号本身是一个宽频信号,它具有抗干扰性强,易于产生等特点,近年来在通信领域中受到越来越广泛的关注。但是目前的研究大多停留在理论层面,Chirp通信系统的具体实施研究的还比较少。本项目计划参照IEEE802.15.4a标准提出的物理层技术,实现基础的简化Chirp信号通信系统。主要实施方案包括两个阶段,第一阶段实现基础的CRM通信系统,第二阶段实现IEEE802.15.4a标准中提出的DQCSK调制及相关技术。最终在该系统中实现数字语音和图像信息的收发传输。该系统的硬件实现对于Chirp通信系统的理论研究有重要意义。
                          
        3系统原理
          CSK(Chirp Shift Keying)调制在IEEE802.15.4a协议中说明为调制子Chirp信号序列相位的一种技术。其中子Chirp信号序列由调频率不同的四段子Chirp信号组成。一般他们的调频率取为k或者-k。事实上,最早针对Chirp信号提出的线性调频率调制(CRM, Chirp Rate Modulation)就是用调频率为k和-k的信号分别代表二进制数据0和1,CRM技术是CSK技术的基础。
           
          本项目计划按照由易到难的顺序实现如下两个方案,其中第一个方案是采用 CRM的通信系统,主要目的是实现Chirp信号的产生,不同调频率的控制以及相关接收模块等。在第一个方案的基础上,实现第二种方案:IEEE802.15.4a标准提出的差分CSK调制通信系统。下面,对两个方案分别介绍。
           
          3.1基础CRM通信系统
          本方案采用二进制调制方式,不同的Chirp Rate,即 对应于二进制数据{0,1}。接收端采用相关接收。系统框图如图1 所示,
           
       

        图1  CRM发射接收系统框图

         

          3.2IEEE802.15.4a标准物理层技术实现
          本实施方案实现802.15.4a标准中基于DQCSK调制和解调的物理层技术的实现。
           
          在官方公布的802.15.4a标准正文的第五章和第六章详细介绍了该标准的物理层技术,第五章是对Chirp扩频技术的简介;第六章是关于物理层技术的详细介绍,包括频段的划分,子频带的分割等,以及所采用的调制方式,可以实现的数据速率等。
           
          系统的参考调制框图如图2所示。
           
       

        图 2  系统调制框图

         

          注:上图中的虚线模块表示在本次参赛计划中可选模块。
          下面我们分别说明图中各个功能模块的具体实现方案
           
           
  •                                          分路(De-multiplexer, DEMUX

          这一模块的作用是将串行的一路数据分为I,Q两路。
           
           
  •                                          数据符号向双正交码字的映射

          下面我们给出详细的数据符号向双正交码字的映射关系表。这里只列出8-ary双正交码字的映射表为例进行说明,64-ary的也是类似的。
           
        表 1  8-ray 双正交码字映射表(r=3/4,1 Mb/s)

                               
                                        数据符号

                               
                                        (十进制)

                       
                               
                                        数据符号

                               
                                        (二进制)(b0 b1 b2)

                       
                               
                                        码字

                               
                                        (c0 c1 c2 c3)

                       
                               
                                        0

                       
                               
                                        000

                       
                                                                         1  1  1  1
                       
                               
                                        1

                       
                               
                                        001

                       
                                                                         1  -1  1  -1
                       
                               
                                        2

                       
                               
                                        010

                       
                                                                         1  1  -1  -1
                       
                               
                                        3

                       
                               
                                        011

                       
                                                                         1  -1  -1  1
                       
                               
                                        4

                       
                               
                                        100

                       
                                                                         -1  -1  -1  -1
                       
                               
                                        5

                       
                               
                                        101

                       
                                                                         -1  1  -1  1
                       
                               
                                        6

                       
                               
                                        110

                       
                                                                         -1  -1  1  1
                       
                               
                                        7

                       
                               
                                        111

                       
                                                                         -1  1  1  -1
                       
           
           
  •                                          并串转换及QPSK符号映射

           
        表 2  QPSK符号映射

                               
                                        输入chirps

                               
                                        ( )

                       
                               
                                        幅度

                       
                               
                                        输出相位

                               
                                        (rad)

                       
                               
                                        1,1

                       
                               
                                        1

                       
                               
                                        0

                       
                               
                                        -1,1

                       
                               
                                        1

                       
                               
                                         

                       
                               
                                        1,-1

                       
                               
                                        1

                       
                               
                                         

                       
                               
                                        -1,-1

                       
                               
                                        1

                       
                               
                                         

                       
           
           
           
  •                                          差分QPSK编码

          差分编码的原理可以用如下的公式来表示:                                    
                                                                                

          其中 是DQPSK编码的输入, 是存储在反馈寄存器中的值。在802.15.4a协议中,差分编码采用4级反馈寄存器,每个寄存器的初始值为π/4
           
           
  •                                          DQPSKDQCSK的调制

          在CSS系统中,子Chirp序列的组合方式有如下的四种可能:
       

        图 2  子chirp序列的四种组合方式

       

        图 3  四个子chirp序列对之间的四种不同的时间间隔

         

       

         

        表 3  等式(2)子chirp信号方向 的数值参数

                               
                                         

                       
                               
                                        1

                       
                               
                                        2

                       
                               
                                        3

                       
                               
                                        4

                       
                               
                                        1

                       
                               
                                        +1

                       
                               
                                        +1

                       
                               
                                        -1

                       
                               
                                        -1

                       
                               
                                        2

                       
                               
                                        +1

                       
                               
                                        -1

                       
                               
                                        +1

                       
                               
                                        -1

                       
                               
                                        3

                       
                               
                                        -1

                       
                               
                                        -1

                       
                               
                                        +1

                       
                               
                                        +1

                       
                               
                                        4

                       
                               
                                        -1

                       
                               
                                        +1

                       
                               
                                        -1

                       
                               
                                        +1

                       
           
        4实现方案、计划及目标
          以System Generator和ACCelDSP进行核心算法的设计,实现“CSK Generator”模块的功能,其余功能模块采用VHDL语言设计。采用精简的MicroBlaze设计发射、接收机中央控制单元,完成对各外设、片上周边设备进行控制、调度,实现各通信接口功能、通信协议。
           
          4.1用DDS产生调制Chirp信号的两种方案
           
  •                                          直接储存Chirp信号点,以固定时钟频率输出,则调频率k的绝对值固定。以不同的读取位置为读取方向来改变扫频范围。
           
  •                                          存储正弦波形,使用PicoBlaze(或MicroBlaze)微处理器控制DDS输出频率,得到不同调频率k的Chirp波形。

           
          4.2接收机方案
          有关接收机的标准在802.15.4a协议中没有规定,这里针对不同的实验条件制定两种方案:
           
  •                                          采用传统的相关接收方式,完成基本的单路接收、解调工作;
           
  •                                          采用分数傅里叶变换域处理手段,设计分数域滤波器,完成滤波、抗干扰等工作,进一步提高系统性能。(备选)

           
          5已有代码
          5.1Chirp信号的产生
       

        图 4  PicoBlaze控制DDS输出频率的System Generator建模

           
       

        图 6  PicoBlaze控制DDS输出频率仿真结果

           
          5.2CSK 3-4映射模块
       

         
          ----------------------------------------------------------------------------------
          -- Company: HITCRC
          -- Engineer: MILLION
          --
          -- Create Date:    12:34:43 06/29/2009
          -- Design Name:     CSK AND ITS RELATED TECHNOLOGIES
          -- Module Name:    CSK3_4Coding - Behavioral
          -- Project Name:
          -- Target Devices:
          -- Tool versions:
          -- Description:
          --
          -- Dependencies:
          --
          -- Revision:
          -- Revision 0.01 - File Created
          -- Additional Comments:
          --
          ----------------------------------------------------------------------------------
          library IEEE;
          use IEEE.STD_LOGIC_1164.ALL;
          use IEEE.STD_LOGIC_ARITH.ALL;
          use IEEE.STD_LOGIC_UNSIGNED.ALL;
           
          ---- Uncomment the following library declaration if instantiating
          ---- any Xilinx primitives in this code.
          --library UNISIM;
          --use UNISIM.VComponents.all;
           
          entity CSK3_4Coding is
              Port ( DATA_IN : in  STD_LOGIC_VECTOR (2 downto 0);
                     DATA_OUT : out  STD_LOGIC_VECTOR (3 downto 0));
          end CSK3_4Coding;
           
          architecture Behavioral of CSK3_4Coding is
          begin
                 process(DATA_IN)
                 begin
                        case DATA_IN is
                               when "000"=>
                                      DATA_OUT<="1111";
                               when "001"=>
                                      DATA_OUT<="1010";
                               when "010"=>
                                      DATA_OUT<="1100";
                               when "011"=>
                                      DATA_OUT<="1001";
                               when "100"=>
                                      DATA_OUT<="0000";
                               when "101"=>
                                      DATA_OUT<="0101";
                               when "110"=>
                                      DATA_OUT<="0011";
                               when "111"=>
                                      DATA_OUT<="0110";
                               when others=>
                                      null;
                        end case;
                 end process;
          end Behavioral;
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 分享分享 支持支持 反对反对
您需要登录后才可以回帖 登录 | 注册

本版积分规则

小黑屋|文字版|手机版|DIY编程器网 ( 桂ICP备14005565号-1 )

GMT+8, 2024-11-15 11:51 , 耗时 0.098272 秒, 21 个查询请求 , Gzip 开启.

各位嘉宾言论仅代表个人观点,非属DIY编程器网立场。

桂公网安备 45031202000115号

DIY编程器群(超员):41210778 DIY编程器

DIY编程器群1(满员):3044634 DIY编程器1

diy编程器群2:551025008 diy编程器群2

QQ:28000622;Email:libyoufer@sina.com

本站由桂林市临桂区技兴电子商务经营部独家赞助。旨在技术交流,请自觉遵守国家法律法规,一旦发现将做封号删号处理。

快速回复 返回顶部 返回列表